Lancement du processus N3P optimisé, du processus N3X axé HPC, du programme N3AE Auto Early et mise à jour 2nm et TSMC 3DFabric™

TSMC (TWSE : 2330, NYSE : TSM) a présenté aujourd’hui ses derniers développements technologiques lors de son North America Technology Symposium 2023, y compris les progrès dans la technologie 2nm et les nouveaux membres de sa famille technologique 3nm leader de l’industrie, offrant une gamme de processus réglés pour répondre aux diverses demandes des clients. Il s’agit notamment du N3P, un processus 3nm optimisé pour une puissance, une performance et une densité accrues, du N3X, un processus adapté aux applications de calcul haute performance (HPC), et du N3AE, permettant un démarrage précoce des applications automobiles sur la technologie de puces la plus avancée.

Avec plus de 1 600 clients et partenaires inscrits, le North America Technology Symposium à Santa Clara, en Californie, est le premier des Technology Symposiums de TSMC qui se dérouleront dans le monde entier dans les mois à venir. Le symposium de l’Amérique du Nord présente également une Innovation Zone mettant en lumière les technologies passionnantes de 18 startups clientes.

« Nos clients trouvent toujours de nouvelles façons d’exploiter la puissance des puces pour créer des innovations qui permettront un avenir meilleur », déclare C.C. Wei, PDG de TSMC. « Dans le même esprit, TSMC reste toujours en éveil, et nous continuons à améliorer et à faire progresser nos technologies de processus avec plus de performance, d’efficacité énergétique et de fonctionnalité afin que leur portefeuille d’innovation puisse continuer à évoluer pendant de nombreuses années. »

Les technologies clés mises en évidence lors du symposium comprennent :

Le portefeuille 3nm élargi : N3P, N3X et N3AE – Avec la technologie 3nm désormais en production de masse avec le processus N3 et la version N3E optimisée programmée pour 2023, TSMC ajoute de nouvelles variantes à la feuille de route pour répondre aux différents besoins des clients.

  • N3P, prévu pour entrer en production dans la seconde moitié de 2024, offre un boost supplémentaire au N3E avec 5 % de vitesse en plus avec la même fuite, 5-10 % de réduction de la puissance à la même vitesse et 1,04 fois plus de densité de puce.
  • N3X, qui priorise la performance et aux fréquences d’horloge maximales pour les applications HPC, fournit 5 % de vitesse en plus par rapport au N3P à une tension d’entraînement de 1,2 V, avec la même densité de puce optimisée que N3P, et entrera en production de masse en 2025.
  • N3AE, ou « Auto Early », disponible en 2023, propose des kits de conception de processus automobiles (PDK) basés sur N3E, et permet aux clients de lancer des conceptions sur le nœud 3nm pour les applications automobiles, menant au processus N3A entièrement qualifié pour l’automobile en 2025.

La technologie 2nm réalise de véritables progrès – Le développement de la technologie 2nm de TSMC utilisant des transistors nanofeuilles fait de solides progrès en termes de rendement et de performance des appareils, et est sur la bonne voie pour une production en 2025. Cette technologie fournira jusqu’à 15 % d’amélioration de la vitesse par rapport au N3E à la même puissance, et jusqu’à 30 % de réduction de puissance à la même vitesse, et 1,15x plus de densité de puce.

Repousser les limites de la technologie CMOS RF avec N4PRF – Au-delà de la technologie N6RF annoncée en 2021, TSMC développe N4PRF, la technologie de radiofréquence CMOS la plus avancée de l’industrie pour des applications RF à forte intensité numérique telles que le système sur puce WiFi 7 RF. N4PRF supportera 1,77x plus de densité logique et 45 % en moins de puissance logique à la même vitesse par rapport au N6RF.

Conditionnement avancé et empilement de puces TSMC 3DFabric™ – les nouveaux développements majeurs des technologies d’intégration de systèmes 3DFabric de TSMC comprennent :

  • Un conditionnement avancé – Pour répondre aux exigences des applications HPC pour intégrer davantage de processeurs et de mémoire dans un seul paquet, TSMC développe une solution de puce sur plaquette sur substrat (CoWoS) avec un interposeur RDL avec une taille de réticule jusqu’à 6 fois supérieure (~5 000 mm2), capable d’accueillir 12 piles de mémoire HBM.
  • Empilement de puce 3D – TSMC a annoncé des versions SoIC-P microbosse de ses solutions système sur puces intégrées (SoIC) fournissant un moyen rentable pour l’empilement de puces 3D. SoIC-P complète les solutions sans bosse existantes de TSMC pour les applications de calcul haute performance (HPC), qui sont maintenant connues sous le nom de SoIC-X.
  • Support de conception – TSMC a lancé 3Dblox™ 1.5, la dernière version de son langage de conception standard ouvert pour abaisser les barrières à la conception 3D IC. 3Dblox™ 1.5 ajoute une synthèse automatisée des bosses, aidant les concepteurs à faire face aux complexités des grandes matrices avec des milliers de bosses et à réduire potentiellement de plusieurs mois les délais de conception.

À propos de TSMC

TSMC a été le pionnier du modèle de fonderie à métier exclusif lors de sa création en 1987, et reste depuis le leader mondial des fonderies de semi-conducteurs dédiées. La société soutient un écosystème prospère de clients et partenaires internationaux grâce à ses technologies de processus inégalées et à son portefeuille de solutions de conception afin de promouvoir l'innovation dans le secteur mondial des semi-conducteurs. Avec des opérations en Asie, en Europe et en Amérique du Nord, TSMC est une entreprise citoyenne engagée à l'échelle mondiale.

TSMC a déployé 288 technologies de processus distincts et fabriqué 12 698 produits pour 532 clients en 2022 en fournissant le plus large éventail de services de technologies de conditionnement avancé et spécialisé. La société est basée à Hsinchu, à Taïwan. Pour de plus amples renseignements, veuillez visiter https://www.tsmc.com.

Le texte du communiqué issu d’une traduction ne doit d’aucune manière être considéré comme officiel. La seule version du communiqué qui fasse foi est celle du communiqué dans sa langue d’origine. La traduction devra toujours être confrontée au texte source, qui fera jurisprudence.

Porte-parole de TSMC : Wendell Huang Vice-président et CFO Tél : 886-3-505-5901

Contacts avec les médias : Nina Kao Responsable des relations publiques Tél : 886-3-563-6688 ext.7125036 Portable : 886-988-239-163 Email : nina_kao@tsmc.com

Michael Kramer Relations publiques Tél : 886-3-563-6688 ext. 7125031 Portable : 886-988-931-352 Email : pdkramer@tsmc.com

Taiwan Semiconductor Man... (NYSE:TSM)
Historical Stock Chart
From Mar 2024 to Apr 2024 Click Here for more Taiwan Semiconductor Man... Charts.
Taiwan Semiconductor Man... (NYSE:TSM)
Historical Stock Chart
From Apr 2023 to Apr 2024 Click Here for more Taiwan Semiconductor Man... Charts.