MOUNTAIN VIEW, Calif.,
May 26, 2020 /PRNewswire/ --
Highlights:
- Early adopters of Arm Cortex-A78 CPU and Mali-G78 GPU, as well as Arm Cortex-X Custom
program partners of Cortex-X1 CPU, have successfully taped out SoCs
for smartphones, laptops, and other mobile devices using the
Synopsys Fusion Design Platform solution
- Synopsys Verification Continuum Platform accelerates software
development, verification closure, and time-to-market for designs
based on Arm IP
- DesignWare Interface IP, including controllers and PHY for USB,
DDR/LPDDR, PCI Express, MIPI, HDMI, Bluetooth and mobile storage,
enables rapid development of Arm-based SoCs
- QuickStart Implementation Kits (QIKs) available today for these
latest processors
Synopsys, Inc. (Nasdaq: SNPS) today announced that
Synopsys and Arm have collaborated to enable tapeouts of optimized
system-on-chips (SoCs) for early adopters of Arm's latest mobile
processor IP, including Arm® Cortex®-A78 and
Cortex-X1 CPUs, and Mali™-G78 GPU. A range of Synopsys
solutions, including the Synopsys Fusion Design
Platform™, Verification Continuum™ Platform,
and DesignWare® Interface IP, were used in the design of
smartphones, laptops, other mobile devices, 5G, augmented reality,
and machine learning products based on Arm's new processors. To
help designers accelerate time-to-market (TTM) and achieve optimal
power, performance, and area (PPA) targets, Synopsys QuickStart
Implementation Kits (QIKs) are available today.
"The nearly three decade collaboration between Arm and Synopsys
has enabled our mutual partners to quickly realize power and area
gains in the design of their Arm-based devices," said Paul Williamson, vice president and general
manager, Client Line of Business at Arm. "Our next-generation
mobile solution builds on the success of the Cortex-A77 and
Mali-G77, and combining it with
Synopsys' platforms and IP solutions gives our partners the
confidence to deliver the Arm-based mobile devices needed for the
era of digital immersion."
Leveraging the collaboration that enabled successful early
adopter tapeouts, the QIKs provide implementation scripts and
reference guides and take advantage of Synopsys Fusion
Compiler™ technology to deliver enhanced PPA and faster
turnaround. To help designers achieve their targets quickly and
confidently, Synopsys offers design services based on extensive
experience hardening Arm processors. The services available range
from QuickStart implementation through turnkey core hardening.
The Synopsys Fusion Design Platform has been leveraged for
optimized implementation of the new mobile cores and incorporates
many Synopsys industry-leading products, including:
- Fusion Compiler design, Design Compiler® NXT
synthesis, and IC Compiler™ II place-and-route system
for efficient, low-power design implementation
- Signoff closure with PrimeTime® PBA-based ECO with
power recovery and exhaustive PBA along with StarRC™
simultaneous multi-corner extraction
- Early, accelerated design optimization for power integrity and
reliability with the RedHawk™ Analysis Fusion
signoff-driven flow within Fusion Compiler and IC Compiler II place
and route
Early adopters of Arm's new premium mobile platform are using
Synopsys Verification Continuum solutions extensively with:
- Earlier, faster, scalable software development and test from
anywhere at any time with Synopsys Virtualizer™ Development Kit
(VDK) with Arm Fast Models for Cortex-A78 and Cortex-X1 CPUs
- Synopsys VCS® simulation with fine-grained
parallelism technology for Arm Cortex-A processors
- Synopsys industry-leading verification IP and test suites for
the latest Arm AMBA® interconnect
- Synopsys ZeBu® Server for system verification and
benchmarking
- Synopsys HAPS® hardware for high performance,
scalable FPGA-based prototyping proven with latest Arm cores
Synopsys' high-quality DesignWare Interface IP enables rapid
development of mobile Arm-based SoCs. DesignWare IP for mobile
markets includes controllers and PHYs for USB, DDR/LPDDR, PCI
Express®, MIPI, HDMI, Bluetooth and mobile storage
interfaces, and is shipping in billions of units today.
"To ensure mutual customer success with each new generation of
Arm processors, Synopsys and Arm work closely starting early in the
development process to optimize our Synopsys Fusion Design and
Verification Continuum Platforms and DesignWare Interface IP," said
Charles Matar, senior vice
president of System Solutions and Ecosystem Enablement, Design
Group at Synopsys. "This ongoing collaboration allows our mutual
customers to leverage the latest features in the Synopsys suite of
solutions to achieve optimal PPA and TTM targets for Arm's new
Cortex-A78 and Cortex-X1 processors and Mali-G78 GPU."
Availability
QIKs for key Arm processors are available today
at https://www.synopsys.com/arm-opto. More information about
Synopsys' solutions for designing Arm-based products is available
at: https://synopsys.com/Arm.
About Synopsys
Synopsys, Inc. (Nasdaq: SNPS) is the Silicon to
Software™ partner for innovative companies developing the
electronic products and software applications we rely on every day.
As the world's 15th largest software company, Synopsys has a long
history of being a global leader in electronic design automation
(EDA) and semiconductor IP and is also growing its leadership in
software security and quality solutions. Whether you're a
system-on-chip (SoC) designer creating advanced semiconductors, or
a software developer writing applications that require the highest
security and quality, Synopsys has the solutions needed to deliver
innovative, high-quality, secure products. Learn more
at www.synopsys.com.
Editorial Contact:
Simone Souza
Synopsys, Inc.
650-584-6454
simone@synopsys.com
View original
content:http://www.prnewswire.com/news-releases/synopsys-enables-tapeout-success-for-early-adopters-of-arms-next-generation-of-mobile-ip-301064635.html
SOURCE Synopsys, Inc.